CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. traficlight

    0下载:
  2. 交通灯程序,有红绿黄LED输出、蜂鸣器输出及数码管时间显示输出。晶振采用48MHz。-Traffic lights program, a red, green and yellow LED output, buzzer output, and digital time display output. Crystal with 48MHz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:447874
    • 提供者:夏江南
  1. pwm_out

    0下载:
  2. PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:40423
    • 提供者:王砂
  1. VHDL

    0下载:
  2. FPGA驱动LED静态显示代码,7段显示码,可以增加显示管的数量完成一些简单的设备-FPGA-driven LED static display code, 7-segment display code, can increase the number of display tubes to complete some simple equipment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2702
    • 提供者:朱林
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. vhdl

    0下载:
  2. LED控制,实现LED功能!源代码!8.2 .3程序设计与仿真 例1:FPGA驱动LED静态显示 --文件名:decoder.vhd --功能:译码输出模块,LED为共阳接法 -LED controls, LED function to achieve! Source code! .3 8.2 programming and simulation case 1: FPGA driving LED static display- File Name: decoder.vhd- Fu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1103
    • 提供者:pepsiprite
  1. led

    0下载:
  2. ALTERA公司的FPGA开发板的流水灯显示VHDL程序-LED DISPIAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:664
    • 提供者:周慧
  1. led-decoder

    0下载:
  2. 7 segment display decoder vhdl project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1088454
    • 提供者:dumbmage
  1. mahdifza@yahoo.com-mous-vga-and-led-ps2

    0下载:
  2. vhdl mouse ps2 driver show in vga and 20 led and writ in ise7.1(2012)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:328172
    • 提供者:mahdi
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. 7Seg---LED

    0下载:
  2. VHDL设计实验,实现VHDL设计控制交通灯-VHDL design of experiments, designed to control traffic lights to achieve VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:399945
    • 提供者:nick
  1. pingpangqiu

    0下载:
  2. 用8个LED发光管的来回滚动显示模拟打乒乓球时 乒乓球在两边球台上的来回运动-With 8-LED LED scroll back and forth to play table tennis table tennis simulation on both sides of the ball in motion back and forth on stage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:467325
    • 提供者:陈嘉诚
  1. vhdl

    0下载:
  2. vhdl的防抖模块 led灯 分频 跑马灯 键控等几个源程序-vhdl image stabilization module led light frequency shift keying, and several other source Marquee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:4483
    • 提供者:刘颖
  1. LED

    0下载:
  2. led流水灯是FPGA初学者必备的基础实验,入门级得开发程序,但是很重要,程序简单可是可以用来熟悉系统的环境。-led vhdl fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:321412
    • 提供者:
  1. led

    1下载:
  2. 基于quartus II 软件用vhdl语言写的交通灯实验 源代码、最终生成文件全程奉献-Quartus II software-based language used to write vhdl traffic light test source code, the resulting file full dedication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:451435
    • 提供者:大毛
  1. LED--VHDL

    0下载:
  2. LED控制VHDL程序与仿真,我感觉是挺有用的~-LED control procedures and VHDL simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5107
    • 提供者:
  1. timing

    0下载:
  2. Video RGB timing搭配FPGA系統及三色LED控制,可以實現色序法(Field sequential display).-Video RGB timing with FPGA and three-color LED control system can achieve color sequential (Field sequential display).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5582
    • 提供者:pin
  1. signal-generator-base-on-vhdl

    0下载:
  2. 实现正弦波输出,可以调节输出频率,具有led显示功能-Achieve sine wave output, output frequency can be adjusted, with led display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:401385
    • 提供者:杨某
  1. keyboard

    0下载:
  2. PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2459
    • 提供者:王蕾
  1. led

    0下载:
  2. 流水灯的VHDL程序 晶振6MHZ,里面包含分频部分与3线八线译码器-LED FLOWS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:172420
    • 提供者:叶小玲
  1. LED

    0下载:
  2. 利用VHDL语言编写的实用的多模式显示的流水灯,它工作的频率手工可选,显示模式手工可选也可以自动切换。-Using VHDL language and practical multi-mode display of water lights, it works hand-selectable frequencies, selectable display mode can be automatically switched manually.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:430393
    • 提供者:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 23 »
搜珍网 www.dssz.com